2009年12月31日木曜日

2009年を振り返る

 2009年は、公私ともどもいろいろあった1年でしたが、こと電子工作の趣味に関してはこのブログを書き始めたことが一番の出来事です。


デジタルFMトランスミッタ

  • プリント基板エディタのMinimal Board Editorを使い表面実装部品を多用した基板を製作。
  • Verilog HDLを使ったFPGA開発を体験。初歩的なところでブロッキング代入とノンブロッキング代入の違いを誤解していてかなり遠回りした印象。でもなんとか乗り越えられました。
  • 食わず嫌いのシミュレーションを体験。テストベンチを書いてみた。
  • ISEのCoreGeneratorで、IPコアを利用してDirect Digital SynthesizerやFIRデジタルフィルタをFPGAで実現。
  • 移動平均フィルタでプリエンファシスを設計、WaveSpectraで周波数特性を測定。
  • ステレオ変調処理もVerilogで書いて、FMラジオでステレオ受信できるところまで確認。
  • FM変調の品質にオシレータの位相雑音が影響していることを確認。とくにFPGAのDCMが位相雑音に悪影響を与えていることがわかった。

FPGA FMステレオチューナ

  • ディジタルデザインテクノロジ誌の製作記事そのままで製作に成功。そしてFM放送って、こんなに音が良かったのかと音質の良さに感動。
  • 基板をケースに収納。ケース加工図をCADで検討。シール紙にプリントアウトして、穴あけ加工位置ずれを防ぐ工夫をした。パネルデザインはCorelDrawを使用。シール紙にプリントアウトして貼り付けると、それなりに見栄えがするようになった。
  • 製作記事そのままでは、受信周波数固定なのでディップスイッチで変更できるようVHDLを少し書き換えてみた。その後、選局用の操作パネルをAVR(ATMEGA64)を使って製作。ファームはC言語で開発。
  • トロイダルトランスを採用した電源を製作。参考書を見ながらまじめに設計。が、熱設計が甘かったみたいで放熱板が熱い!
  • 「クイックポジ感光基板」で基板製作。試行錯誤の結果、失敗する気がしなくなった。
  • なひたふ電子のラティスXP2用USB書き込み器を製作。プリンターポート付きPCから卒業した。
地デジ測定器を動かす
  • ジャンクで購入した地デジ測定器を使って地デジを受信して、チャンネルを変えて再変調した信号をテレビ表示できた。やっていることは単純ですが、ジャンクを動作させられたことが単純に嬉しかった。

 今年を振り返ると「進んでいないなぁー」という印象しか残っていないのですが、ブログを見返してみるとわずか1年でいろいろとやっていたみたいです。
 さて、来年の目標です。


来年の目標

  • 作りかけのルビジウム発振器(の分配器)を製作
  • FPGA FMステレオチューナの操作パネルを完成させる。
  • デジタルFMトランスミッタの特性の向上。音声S/Nの改善と帯域外スプリアスの改善。
  • JimComさんのDDCを製作して"ラジオ"を作りたい。
  • ヘッドホンアンプICのTPA6120とデジタルボリュームPGA2311を使ったUSBオーディオ。
  • SiliconLabのSi4710を使って、USB接続のFMトランスミッタを作ってみる。
  • 高圧発生器の自作に失敗して断念しているニキシー管時計を作ってみたい。

  •  思いつくものだけでも結構ありますね。これだけで1年かかりそうです。
    まあ趣味ですからコストも納期も考える必要がないので楽しんで進めていきます。

     最後になりましたが、2009年、ブログをご覧頂きありがとうございました。そして、来年もよろしくお願いいたします。

    2009年12月23日水曜日

    FMステレオチューナ: 操作表示部(3)

     気分屋に見えるラティスのispLEVERの振る舞いと付き合いながら、選局ができるところまでようやくたどり着きました。ボタンを押すと、放送局が切り替わる。当たり前の動作ですが苦労して作り上げてきたので嬉しくなります。


    操作表示部を仮組み


     操作表示部の基板からFPGAチューナに基板に渡す選局データは現状で次のようになっていますす。(変更する予定です)
     これらの配線は、本来ならマイコンのシリアル対応ポートに接続しなくてはいけないのですが、設計時にはそういったことを考えてなくて汎用ポートに適当に繋いでしまいました。そのためプログラムがメンドクサイことになってます。やってみて初めてシリアルポートのハードウェアが埋め込まれている理由が理解できました。

    シリアル転送タイミング


    上の波形をAVRで再現



    ロジアナで波形を観測



    ロジアナで観測


     Cで適当に組んだプログラムなので、意図したタイミングとはちょっと違います。でもそれに合わせて受信側でなんとかしましょう。

     最後に課題です。
    • シグナルメータの実装:FPGAチューナ基板のIQ信号から演算結果をドットマトリクスLEDに表示
    • ロータリエンコーダ、プッシュボタンの操作フィーリング改善:チャタリングが取り切れてない
    • プリセットメモリの実装:現状ではプリセット周波数はプログラム中でベタ書きしている
    • 3端子レギュレータの放熱:熱くて触っていられないほど。少し大きめの放熱器を連結するか、銅板でシャーシと連結して改善できないか
    • プリアンプが発振気味:片面基板のせい?銅テープを貼り付けてアースを強化して収まってくれるといいなぁ

    2009年12月9日水曜日

    ルビジウム発振器(2): LPRO-101のマニュアルから

    EFRATOM製(現Symmetricom) LPRO-101

     最近、ヤフオクでも値下がり気味?でしょうか。eBayには今でもたくさん出ていますね。ネット上でLPRO-101のマニュアルも見られます。


    主な仕様
    • 出力周波数、波形: 10MHz 正弦波
    • 出力レベル: 0.55V rms(50Ω負荷、+7.8dBm)
    • 動作温度: -30℃~+70℃(ベースプレート部)
    • 環境磁界: ±2ガウス以下
    • MTBF(平均故障間隔):
        30℃ 320,000hrs/36.5年、40℃ 253,000hrs/28.9年
        50℃ 189,000hrs/21.6年、60℃ 134,000hrs/15.3年


    周波数の微調整
     ルビジウム発振器は2次標準なので経年による誤差補正と1次標準に周波数を合わせるためルビジウムセルに与える磁界調整用"C-field"コイルの電流調整用ポテンショメータと外部制御端子がもうけられています。


    放熱について
     この手の機器は、24時間365日連続稼働が当たり前ですので 放熱が大事としきりに記述があります。ベースプレートの温度を70℃以下とするため、たとえば最大周囲温度50℃の場合、2℃/W以下の放熱器が必要だそうです。 ※50℃+2℃/W×10W=70℃
      連続動作中のラックマウント型ルビジウム発振器を夏場に触ったことがあるのですが、冷却ファンなしで背面に取り付けられた放熱器に触れると熱かった(50℃を越 えるくらい?)覚えがあります。筐体外部の放熱器で50℃以上なら内部では、60℃くらいあるのかも しれません。

     さて、実機に放熱板を付けずに数時間動作させてみたのですが、冬場だと言うこともありますけど熱くはなりますが触れないほどではありません。私の場合、連続動作も考えていないので、ケースのシャーシでの放熱効果を期待することにします。


    出力について
     LPRO-101の出力は正弦波です。マニュアルには、正弦波からTTLレベルへの変換方法について記載がありますが、適切なデバイスを選定しないと位相ノイズが悪化するそうです。ルビジウム発振器を組み込みで使用するときには、注意が必要ですね。測定器側では、かならずしもTTLレベルを要求していないので今回は正弦波のままで行きます。


    正弦波-TTL変換回路の位相ノイズ(LPRO-101マニュアルより)


    メーカおすすめの正弦波-TTL変換回路(74AC04)


    電源について
     電源電圧は+19V~+32Vが許容されており、標準は24Vです。コールドスタート時には、1.7Aほどの電流が流れますがウォームアップ後は、 o℃:14.5W(0.6A)、50℃: 9W(0.375A)となります。
     マニュアルには、電源の品質(スプリアス電流や電圧ノイズ等)により、ルビジウム発振器の出力位相ノイズやスプリアス特性が劣化するので、注意しろと書かれています。


    BITE[Built In Test Equipment]
      内部VCXOの動作状態を示しており、電源投入から3~4分の間はHレベル(4.2~4.8V)となりますが、ルビジウム発振器が原子同期状態 (周波数精度が概ね±5E-8以内)になるとLレベルを示します。所期の精度に達するのは、電源投入から概ね30分後です。
     この端子はシュミットトリガ付きのCMOSロジック(74HCT14等)かFET入力(1MΩ以上)のヒステリシス付きコンパレータで受けることが推奨されています。

    BITE端子の内部回路



    ランプ電圧モニタ
     ルビジウムランプの光出力レベルモニタ端子LAMPVがあります。3V以上であれば正常。

    LAMPV端子の内部回路



    クリスタル電圧モニタ
     VCXO制御電圧のモニタのようです。0.55V~12.6Vが正常。ウォームアップ時(スイープモード)には、0.502~13.3Vの間で変動するそうです。

    XTAL VMON端子の内部回路

    2009年12月5日土曜日

    ルビジウム発振器(1): LPRO-101

     去年ハムフェアで購入したルビジウム発振器LPRO-101が押し入れから出てきました。ムダな買い物?と見なかったことにして再度しまい込もうかと思ったのですが、折角なので賞味期限が切れる前にケースと電源を用意して使える状態にすることにしました。


    応用製品の出力仕様
     ルビジウム発振器は電子機器や測定器の基準信号源として、分配接続されることが多いため複数の出力を持っていることが多いです。もちろん 個人使用ですので、多くの出力数は不要ですが測定器に接続できるレベルや波形にしなくてはなりません。そこでまず、市販のルビジウム発振器応用製品の仕様 を調べてみました。日本通信機SymmetricomStanford Research Systems
     概ね次のようになっています。
    • 10MHz正弦波、振幅1Vrms以上
    • 10MHzTTLレベル、>3V peak、デューティ比50%
    • コネクタBNC 50Ω

    測定器の外部標準入力について

     次に、接続される測定器の入力レベルを調べてみました。手持ちのSG(HP 8657A)は0.15V以上となっていますが、一般的にはどうなのかと思い、メーカの現行品も調べました。
     結果は、はっきり言ってバラバラ。同じメーカでもモデル毎に異なり統一されていない模様。どうやら必要に応じて適宜アッテネータを挿入して使うもののようです。波形についてサイン波とか方形波だとかの指定は、特段ないようです。
    • アンリツMS2711Dハンドヘルドスペアナ: -15dBm-+10dBm、50Ω
    • アンリツMS2681Aスペクトラムアナライザ: ≧0dBm
    • アンリツMG3641A/MG3642Aシンセサイズド信号発生器: ≧0.7 Vp-p/50 Ω
    • アンリツMF2400Cマイクロ波フリケンシカウンタ: 1~5Vp-p(AC結合)、入力インピーダンス:≧1kΩ
    • アジレント4403B ESA-Lスペアナ: –15 to +10 dBm
    • アジレント53181A RFカウンタ: 200mVrms~10Vrms
    • アジレントN9310A RF信号発生器:>0.35 Vrmsレベル、50Ω終端
    • アドバンテストU3741スペクトラム・アナライザ:0~+16dBm

    2009年12月1日火曜日

    お値打ち歳末セール

    チップワンストップで12月末まで歳末セールやってます。以前、私も購入したオリジナルサンプルキットが全品4,980円と、いつものセールより割安になっています。特に、チップコンデンサは魅力的ですけど、1608セラミックコンデンサキット2はお値打ちだと思うけど、そんなに使うのかと自問自答中。

    2009年11月28日土曜日

    地デジ測定器を動かしてみる(4)

     中国では地デジのことを『数字電視地面広播』というそうです。そのまんまですね。

     さて、OFDM変調器の出力周波数は37.15MHzでしたがUHF TV帯へのアップコンバータがないので、代わりにR&Kのダブルバランスドミキサと信号発生器で周波数変換を行います。今回は空きチャンネルということで62chとしました。



    ダブルバランスドミキサ

     62chは、764~770MHzの幅を持ち中心周波数は767MHzです。地デジの中心周波数は1/7 MHzのオフセットが掛けられているので、767.142857MHzとなります。Web上で地デジの中心周波数を調べられるサイトがあるので利用させて頂きました。
     先に紹介したとおりIF信号のスペクトラムは反転しているので、周波数変換の過程でスペクトラムが反転するように局部発振器LOは逆へテロダインとなるような周波数関係にセットします。

     LO= 37.15MHz + 767.142857MHz = 804.292857MHz


    チャンネルパワー

     OFDM信号は約5.7MHzもの周波数帯幅を持っているので、スペクトラムアナライザのチャンネルパワー測定機能でレベルを確認します。スペクトラム表示では、周波数帯幅の約1/100となるRBW=50kHzで観測しているので約20dB低く表示されています。



    テレビで入力レベルを確認

     ビクター製のテレビですが取説にはアンテナ入力レベルの記載がありませんでした。そこでパナソニックの単体地デジチューナTU-MHD500の仕様を参考にしようと調べたところ、-75dBm(標準)~-20dBm(75Ω )ということでした。画面では62chで受信されていることがわかります。



    チャンネルスキャン

     チャンネルスキャンを行うと、放送局名が表示され受信できるようになります。



    放送局を変更すると受信できず

     いったん放送局を受信できている状態で、別の放送局のTS信号に変更したところです。送信チャンネルや変調パラメータは同じなのに受信できなくなってしまいます。リモコン番号に矛盾が出るからなのか、放送局を変更したときは再度チャンネルスキャンをしないと受信できません。


     続いて、OFDM変調器のセグメント毎にキャリアをオン/オフできる測定器ならではの機能を使ってみます。地デジの電波は13個のセグメントから構成されていて、中央の1セグメントはワンセグ向け、残りの12セグメントはHDTVなどの固定受信向けのサービスに使われています。試しに固定受信向けのセグメント1個をオフにしてみたところ、切替ショックもなくテレビで映像が受信できることに気がつき驚きました。さらにもう1個、合計2個のオフにしたときは、セグメントの組み合わせによって受信できる場合と受信できない場合がありました。



    セグメントの組み合わせ

     上の図で、数字はセグメント番号を示しています。合計2個のセグメントをオフにしても映像が受信できる組み合わせをオレンジ色に塗ってあります。 



    合計3個のセグメントをオフにしてみた

     上のスペクトラムは、ワンセグと固定受信2個のセグメントをオフにしたところです。これでもテレビでは違和感なく映像が出ています。セグメントをオフにしても何故映像が出るのか考えたのですが、固定受信の12セグメントのうち10セグメントだけで映像がみられると言うことは、まず伝送容量が83%になってもビタビ復号(符号化率3/4)とリードソロモン符号による誤り訂正で復号できたということだと思います。とはいえビットレートに余裕はないので、セグメント間インターリーブがランダムに行われている訳ではないので、セグメントの組み合わせによって影響度に差が出たのかなぁと思っています。
    (・・・と説明してますが、どうもムリがあるような??)


    (参考資料)

    2009年11月27日金曜日

    地デジ測定器を動かしてみる(3)

    地デジOFDM変調器 BT-3901

     なんとケンウッド製です。同社は当該製品ごとリーダー電子に事業譲渡してしまったようですが、モデルが古く両社のWebページを検索しても一切資料が残っていません。マニュアルがありませんが幸いメニューがシンプルでわかりやすいので動作させることができました。しかし筐体が大きく、ミドルタワー型PCとほぼ同じサイズです。

     変調信号は内部の PN信号(PRBS信号; 擬似ランダムビット列)あるいは外部入力の放送TS信号を変調できます。内部のPN信号で変調をかけるときは、任意の変調パラメータを設定することができますが、外部入力の放送TS信号では変調パラメータはTMCC情報により自動設定されるので変更できなくなっています。

     OFDM信号の出力周波数(中心周波数)は37.15MHz。送信機のIF周波数。IF出力信号のスペクトラムは、放送波帯のそれとは反転しているので注意が必要。IFでの高域側が放送波帯での低域側になる。

    OFDM変調器メイン画面

     外部変調の場合、変調パラメータは自動設定される。


    OFDM変調器FEC設定

     各項目を"On"以外に設定すると映像が出ない。


    OFDM変調器 変調設定

     出力としてOFDM信号かCW信号を選択できる。"IQ Swap"は、高周波段での内部処理でI信号とQ信号を入れ替えることができるオプション?位相が変わる?"On"にしないと映像が出ない。"Segment"で任意のセグメントを出力させるかしないかを選択できる。


    OFDM変調器 システム設定1

     外部変調にするときは"TS Source"を"EXT"とする。ここでは地デジチューナとDVB-ASIインターフェースで接続しているので"TS Input"は"ASI"とする。放送TSなので"Packet Size"は"204"バイト。あれ、188バイトの表示があると言うことはMPEG2-TSでも入力できるのか?信号源がないので検証できず。
     どうもよくわからないクロック関係の設定。放送TSを接続するときはフレーム同期を取る必要があるはず。でも現実にはDVB-ASIケーブル1本だけを接続して"F SYNC"を"INT"としておくだけで映像が出てくる。
     "ISDB-T System"の項目は意味不明。"TB13"にしないと映像が出なくなる。


    OFDM変調器 システム設定2

     地デジチューナでのIIPのPID(Packet ID)は0x1FF0なのに、"IIP Packet PID"は"0000"のままで映像が出る。どうして?TSパケットの、ダミーバイトに埋め込まれた情報のみを参照しているから?

    2009年11月26日木曜日

    地デジ測定器を動かしてみる(2)

    地デジ受信機 6500A


     地デジ受信機6500Aです。マグナデザインネット製の復調ボードを内蔵しています。家庭用の受信機とは違い映像・音声出力はついておらずTS信号が出てくるだけです。付加機能としてTMCC情報の表示やBER(ビットエラーレート)が見られます。写真では、ビタビ復号前のBERが表示されていて、A階層(部分受信・ワンセグ)はエラーなし、B階層(固定受信・ハイビジョン)が1.4×10-4であることがわかります。ビタビ復号後の表示に切り替えるとA階層・B階層ともにエラー表示がゼロになります。
     "EWS FLAG"は緊急警報放送信号を受信したときに点灯するようです。"COUNT DOWN"は緊急警報放送信号が送出されるまでのカウントダウンみたいです。


    TMCC情報


     TMCC信号(Transmission and Multiplexing Configuration Control)は、受信機が地デジを受信するときに必要な伝送パラメータ(変調方式やセグメント番号など)を示す信号です。地元のテレビ局のパラメータを一通り見てみましたが、すべて同じでした。MODE3, ガードインターバル比1/8で、
    A階層(ワンセグ)のキャリア変調方式はQPSK, 畳み込み符号化率2/3, 時間インターリーブ長4, セグメント数1、そして最後の1は部分受信(ワンセグ)を表している?ものと想像しています。(マニュアルがないので不明)
    続いてB階層のキャリア変調方式は64QAM, 畳み込み符号化率3/4, 時間インターリーブ長2, セグメント数12です。

     受信機の仕様によると出力フォーマットは204bytesパケットで、3種類のTS出力フォーマット(1.放送TS, 2.多重化TS, 3.特定階層TS)を選べますが、接続するOFDM変調器には放送TSだけしかつながらないようでした。

    この放送TSについては、ARIBの標準規格STD-B31 「地上デジタルテレビジョン放送の伝送方式」(概要規格書1.7版)付属書「地上デジタルテレビジョン放送の運用ガイドライン」の5章に規定があります。いくら読んでもなかなか理解できないのですが、通常のMPEG2-TSの各TSパケットのダミーバイト部分にTMCC情報等を埋め込んだり、ヌルパケットにIIP(ISDB-T Information Packet)として多重化させ、さらに地デジのフレーム構造を持たせたもの、のようです。フレーム構造を持つため、何らかのかたちで信号伝送に際してはフレーム同期を取る必要があります。
     OFDM変調器は、受け取ったTMCC情報を見て、所期の変調パラメータで変調をかけることになります。

     余談ですが、この受信機には受信したFFTサンプル周波数と同期したSYSTEMクロック出力があります。放送局の送信機の基準周波数はルビジウム発振器により制御されているはずですから、このSYSTEMクロックもルビジウムに準じた精度が期待できる、と言えます。でもFFTサンプル周波数は512/63=8.12698・・・MHzということで、そのままでは使いにくいですね。

    2009年11月25日水曜日

    地デジ測定器を動かしてみる(1)

     今やジャン測でも地デジ関連測定器が手に入ります。しかもこの世界進歩が早く、陳腐化してしまったためなのか安く入手できるものもあります。でもネット上で地デジ関連の中古測定器を使ってみましたという記事は殆ど目にしません。個人でこのような測定器を入手してもアマチュアTV(ヨーロッパにはDVB-Sの変調器を自作する人もいます)くらいしか使い道がないからかなと思うのですが、「技術的興味」の一環ということで動かしてみました。測定器をいじくり回すだけなので、電子工作趣味のブログとしては、無意味な記事かもしれませんが・・・。

     さて、動かしてみたと言っても入手した測定器の動作確認代わりに受信した地デジをチャンネルを変えて再変調しただけなんですが、ジャン測からちゃんと画像が出たというところに感動がありました。そして測定器取り扱いのため専門用語を調べる過程でいろいろ勉強ができたというのが私にとっての収穫です。


    実験ブロック図


     実験ブロック図としては、至ってシンプルです。地デジ受信機で受信した信号を放送TS信号として取り出し、そのまま地デジの変調器で再変調をかけているだけです。

    2009年11月9日月曜日

    FMステレオチューナ: ケース加工、少し進捗

    制御基板の取り付け用のスペーサが足らず、まだ完成途中。




    フロントパネル














    ケースの中身
    電源でかすぎです














    ハードウェアはほぼ完成に近づきましたが肝心なソフトはこれからです。AVRで周波数指定データを送り出すところまではできた、つもりなので次はFPGAボード側のHDLの作成です。VHDLとラティスのツールを使うのは気が重いです。
    それと、アンテナ端子を開放しているとプリアンプが発振気味です。参ったな。


    さてケースの加工についてですが、APB-1のケース加工で加工位置のズレが目立ったので、今度はCADで作成した加工図をシール紙にして貼り付けました。




    パネル材料に加工図を貼り付け















    パネルの穴開け加工後
    位置は合ってるようですが
    斜めだったりするのはご愛嬌










    今回のパネルは2mm厚。パネル表面には、フィルムラベルを貼り付けますが、穴あけの断面は正面から見えてしまします。そこで断面部分だけ塗装することにしました。補修用のラッカー塗料を使って見ましたが、どろっとしていてめちゃくちゃ塗りにくいです。それで隣にうすめ液が並んでいたのか・・・





    断面部分だけ塗装

















    パネルの外観はCorelDRAW Essentialsで作成。Visioみたいなソフトで割安に買えます。文字や図形の位置を数値指定して描画させるなら、Visioより少しラクに感じます。
    でも加工図を作成するのは非常にしんどかったのでCorelDRAW を使わず安易にCADに頼ってしまいました。



    パネル外観














    作成したパネルデザインをフィルムラベルに印刷します。高価だけあって非常に美しい仕上がりです。色は他の手持ちのオーディオ機器との調和を考え、ベージュ系を意識しましたが、単なるグレーになりました。ディスプレイ表示とはだいぶ違うものですね。




    エーワンのフィルムラベルに印刷











    フィルムラベルをパネル材料の貼り付け、細工用のカッターナイフで不要な部分をくりぬきます。けっこう空気が入ってしまいました。位置合わせも大変でした。良い方法はないものでしょうか。




    パネル加工後















    使用したラッカー塗料と

    フィルムラベルのくりぬきに使った
    カッター
    ナイフ

    2009年11月3日火曜日

    はんだごての温度

    最近の工作では、リード線付きの部品より細か~い表面実装部品を使う機会が増えてます。
    なんと言っても表面実装部品は安くて小さくて高性能ということなしです。そんなわけで、使う部品に合わせて工具もそれなりのものが必要になってきます。

    最初に考えるのは、はんだごて。
    15Wくらいのはんだごてに半月型のこて先を組み合わせて使ってきましたが、大阪パーツランドのセールで割安で販売されていた鉛フリー対応デジタル温調式のはんだごてFX-951に乗り換えました。グットと迷いましたが見た目でチョイス。




    ハッコーの温調式はんだごて
    はんだごて接続コネクタに
    DINコネクタが使われています
    MIDIとオーディオ以外で初めて見た
















    こて部分
    小型軽量です









     このはんだごて、数十秒で暖まるなど使い勝手もよく満足しています。しかし、チップ抵抗やチップコンデンサのはんだ付けで、くすんで色になってしまいキレイにはんだが付かなかったり、つららになってしまうことがよくあり自分の腕が悪いせいだと考えてきました。でも、しかし仕上がったはんだに艶というか輝きがないのは温度設定がマズイのではと考えWebをチェック。RSコンポーネンツのページに”今さら聞けない あんな質問、こんな疑問”というところでいろいろとヒントが書いてあるのを見つけました。

     まずつららについては調べたところフラックス過多が原因のようです。そういえばフラックスを多用しています。そして仕上がりがきたないのは温度がまずいようです。それまで工場出荷時設定通り350度のままでしたが、昨今では鉛フリーはんだが一般的のため私が使っている鉛入はんだには適切ではないかもしれません。

     では、何度に設定すればよいのか。Webで調べましたが探し方がわるいのか具体的な設定値を見つけることができません。まあ趣味だから実験的に決めるというのもやり方のひとつですが、きっと原理原則があるはずです。そこでハッコーのカスタマサポートに問い合わせてみました。するとすぐに丁寧な返事が返ってきました。この場をお借りしてお礼を申し上げます。

    秘密でもないでしょうから紹介しますが、要旨としては次の通りです。

    一般的にはんだ付け時の最適温度は、
     ①はんだの融点 + 50℃ = はんだ付け部の最適温度
       (最近では + 10℃~部品の耐熱温度ともいわれています)
     ②はんだ付け部の最適温度 + 100℃ = はんだこての最適温度


    これらの条件から、設定温度を検討することにします。
    まず、はんだの融点をWeb等で調べます。温度プロファイルとも呼ぶようです。




    手持ちのはんだ
    (左)ホーザン H-714
    (右)グットの銀入はんだ SE-2AG08











    • ホーザン鉛入はんだ H-714は、固相温度183度/液相温度190度
    • グット銀入はんだ SE-2AG08は、固相温度178度/液相温度211度(実物には融点194度と表示があるが??)
    • (参考)ホーザン鉛フリーはんだ HS-342は、固相温度217度/液相温度226度
    いずれも融点とは、書いてありません。

     固相温度とははんだの溶け始めの温度で、液相温度は完全に溶ける温度ということのようで、両者の中間の温度では固体と液体の両方が存在しているそうです。この温度差が大きいと、固化するときにミクロに見れば組成が一様にならずよろしくないということで、両者を一致させた「共晶はんだ」というものがあるということです。

     ところで銀入りはんだは、銀メッキや銀電極部分に使用するためのはんだだということです。一般のはんだで銀メッキ部分にはんだを行うと、経年変化でマイグレーション(銀移行現象)が発生し、当該部分が脆くなり、はんだの信頼性が著しく低下するそうです。さらに一般的な部品ではんだメッキ部分に銀入りはんだを使うと害があるとも・・・、メーカでははんだごてを使い分けているそうです。今まで仕上がりが輝いてキレイだという理由で銀入はんだを使ってきましたが、驚きました。

     さて本題に戻し、ハッコー社の回答によるとはんだごての設定温度は融点+150度ということでしたが、ここでいう融点として、グットの表示のように固相温度と液相温度の中間と考えてみます。
    ホーザン鉛入はんだH-714は337度、グットの銀入はんだは344度、ホーザン鉛フリーはんだは372度となります。ただ融点+150度という程度の概算ですから10度単位くらいで合わせておけばよいのかなと思います。

    2009年11月1日日曜日

    JJY標準電波の受信確認証

     JJY標準電波は、独立行政法人情報通信機構(NICT)が長波の40kHz(福島県)と60kHz(佐賀県)で送信を行っており、市販の電波時計でも利用されています。

     今回、『おおたかどや山標準電波送信所開局10周年記念』と称して10周年記念カード(ベリカード)が12月31日までの期間限定で発行されています。早速、「受信報告」を送ってみたところ、送信アンテナの写真が写ったカードが届きました。




    JJYの受信確認証(ベリカード)












     受信確認証の発行条件として電波時計での受信は対象外と明記されています。「おおたかどや山」の周波数は40kHz。長波も受信可能なSDR受信機でコールサインのモールス信号を聞ければ良いわけですが、あえて信号の可視化を試してみました。(まあ趣味ですから・・・)

    まず受信アンテナです。
    電気配線用のモールを十字型に組み合わせガムテープで固定。この枠に細いワイヤーを2回巻いてビニルテープで固定してみました。製作時間は15分ほどです。もとの電波が強いので非同調のいい加減なアンテナでもなんとか受信できます。





    枠型アンテナ

    これを物干しから吊します
















    受信機としては、スペクトラムアナライザを使いました。ウォーターフォール表示機能でモールス符号が見えてきます。まあ特徴的なパルスの断続なので耳で聞いてもわかりそうです。
    モールス符号の一覧はこちら。(ウィキペディアのページJARLのページ





    可視化したモールス符号

    右側に同じタイミングで
    60kHzも見えます。













     このJJY、もともとは短波帯のサービスでしたが2001年3月で短波での送信を止めてしまいました。当時を懐かしむ人がいるのか、短波JJYもどきの時報音を発生させるキットまであります。これに、NICTのページで公開されている時報音声データを組み合わせればカンペキかも。

    2009年10月18日日曜日

    FMステレオチューナ: AVR覚え書き




    とりあえずLEDが点灯
    先は長いね












    最初の作業の覚え書き(CPU: ATMEGA64, 書き込み機: AVRISP II

    ダウンロード時には登録を求められるも、AVRWikiの『最新情報』をよく見ると、
       登録不要なリンク先が書いてある
    • AVRISP IIをPCのUSBに接続
    • AVRStudioにドライバが含まれているのでドライバは自動的にインストールされる

    AVRStudioの覚え書き
    • まず、"Project" → "Configuration Options" でデバイスとクロック周波数を指定する
    • Cで開発するには、Makefileをソースと同じフォルダにあらかじめ置いておく
    "Build" → "Export Makefile" で作成
    • ソース作成後、"Build" → "Build" でプログラムデータ(hexファイル)が生成される
    • "AVR"アイコン(Connect to the Selected AVR Programmer)をクリック
    • "Program"タブの"Flash"項でhexファイルを指定して、"Program"をクリック。


    ATMEGA64では、ISPをMOSI, MISOに接続してはいけない

     Setting mode and device parameters.. OK!  Entering programming mode.. FAILED!  Leaving programming mode.. OK!

    というメッセージが出てISPに失敗します。
    FAQによると、ISPクロック周波数が、AVRのクロック周波数の4分の1以下にセットせよと書いてあるが、設定を変えてもダメ。ググったところ、MISO, MOSIの罠なんて記事が。
    なんでもATMEGA64のMISO, MOSI端子はSPI接続用で、ISP機能のMISO, MOSIはPE0, PE1に割り当てられています。みんな、はまっているんやね。





      ATMEGA64のピンアサイン
      MOSI, MISOはPB2, PB3
      (これはSPIインターフェース)






















      ISPのピンアサイン
      MOSI, MISOはPE0, PE1
      











    初期設定はATMEGA103互換モード
    紛らわしいので、Fuseビットを操作して互換モードを解除。
    AVR Studioの操作画面では、ヒューズをイメージしているらしく、チェックありはヒューズが飛んだので論理0。チェックなしは、ヒューズがつながっているので論理1。違和感ありあり。




    ヒューズビット表示
    チェックあり: 論理0 (L)
    チェックなし: 論理1 (H)
















    すごく参考になったページ

    2009年10月12日月曜日

    FMステレオチューナ: 電源(2)


    1.ドロッパ型電源

     回路としてはすごく基本的でカンタン!と思っていましたが、まじめに考えると難しく思えてきました。手元にあった「トランジスタ技術SPECIAL N0.28 特集 最新・電源回路設計技術のすべて」の解説記事を見ながら、ひとつひとつのパーツの仕様を考えていきます。

    • 電源の仕様
    この電源には、チューナ基板本体と外付けプリアンプ基板を接続します。
        電源電圧はチューナ基板に合わせ5Vとします。負荷電流は450mAを予定していますが、
        余裕を考え、仮に1.2倍として600mA程度とします。

    • 電源トランス
    電源トランスの2次側電流容量は、電源出力の平均電流の1.5~1.7倍を選定するそうです。
        従って電源出力を600mAとすれば、トランス2次側電流容量は900mA以上必要と
        言うことになります。

        電源ハムの影響を極力避けるためリーケージフラックスが小さいトロイダルトランスとして、
        RSコンポーネンツでアイルランドNuvotem Talema社製70000Kシリーズ
        基板実装タイプを購入しました。ブルーの小型トランスで、よくオーディオの自作でも
        使われています。

    Nuvotem Talema社トロイダルトランス(カタログより)


        他にもAmveco社の製品がDigi-Keyで入手できます。

        さて、この製品の仕様は1次電圧115Vで規定されています。
        これを100Vで使用したらどうなるのでしょうか。
        2次側の電圧は、1次側に比例するので100/115になります。
        電流は、トランスの巻線の太さで決まるので上限は変わらないはずです。
        ただ鉄損(コアの損失)と銅損(巻線の抵抗による損失)が減少するので厳密には
        僅かに多めに取れると思いますが、安全を考えて、やはり変わらないと考えることにします。
        
        今回は、細かいことを考えずに 70041K を選んでしまいました。仕様は次の通りです。

          容量: 10VA
          1次電圧: 115V

          2次電圧: 9V 556mA ×2巻線

          2次開放電圧: 10.8V
          効率: 82%

        1次電圧を100Vとしたときの、2次電圧は 9V×100V/115V=7.8V。(定格電流時)
        2次巻線はカタログに『Primaries and secondaries for parallel or series connection』
        とあるので、少々抵抗を感じますが並列接続します。接続時には極性に注意しないと
        短絡してしまいます。電流は 556mA×2=1,112mA となります。

        ところで、トラ技SPECIALの記事によると、トランスの電圧変動率ε を求めておくと、
        回路定数を求めるのに便利ということで計算しておきます。

    電圧変動率ε
    V'2: 2次側開放電圧
    V2: 2次側定格電圧

        上の式より、(10.8V-9V)/9V=0.2 となります。これは1次電圧は115Vの場合ですが、
        100Vの場合はわずかに改善されるものと思いますが、同じと考えておきます。

        この電圧変動率εから、2次側短絡時の1次側の電流を求める事ができます。

    2次側短絡時の1次側の電流I1s

        この場合、(7.8V×1.112A/100V)×(1+0.2)^2/0.2=0.62A となります。

        2次電流を1次側に換算するには。(V2/V1)×(1+ε) を掛ければ、求める事ができます。
        たとえば、3端子レギュレータの出力が短絡した場合に1次電流を求めてみます。
        使用した3端子レギュレータμPC2405Aには、1.2Aで動作する過電流制限回路が
        内蔵されているので、このときの1次電流は (7.8V/100V)×(1+0.2)×1.2A=0.11A となります。
        
        次に、トランスの出力はブリッジ接続されたダイオードで整流された後、平滑コンデンサで
        比較的フラットな電圧となりますが、負荷が接続されるとコンデンサの充放電のため
        リプル成分をもち変動します。

        一方、3端子レギュレータは、入力電圧は出力電圧よりも高くする必要があり、その電圧差は
        最小入出力間電圧として規定があります。従って、平滑コンデンサのリプル電圧の下限は
        最小入出力電圧をクリアしている必要があります。

        平滑コンデンサの平均電圧とリプル電圧の概算値は、次式で計算できるそうです。
    平滑コンデンサの平均電圧(概算値)
    Io: 出力電流
    Vf: ブリッジダイオード1素子のドロップ電圧


    リプル電圧の振幅(概算値)
    f: 交流周波数
    C: 平滑コンデンサ容量

        上式より、平滑コンデンサの平均電圧
        7.8V×0.9×(1+0.2)×√2 - 3×0.6A×(7.8V/1.112A)×0.2 - 2×0.5V = 8.39V
         ※但し、商用電圧変動による電圧低下を90Vとみた

        リプル電圧の振幅
        3/4 ×{0.6A/(2×50Hz×0.0066F)} = 0.68V
         ※但し、条件が厳しくなる50Hzを想定した

        リプル電圧の下限は、Vc - 1/2 ×ΔV より、
        8.39V - 1/2 ×0.68V = 8.05V となります。

    • 整流ダイオード
    ブリッジ型に接続する全波整流回路としました。
       定格電流が電源出力の平均電流の1.25倍を選定するそうです。トランスとダイオードで
       この倍率が異なる理由として、「トランスの巻き線抵抗がほぼ純抵抗であるのに対して、
       ダイオードは順方向ドロップ電圧と抵抗の合成になっているため」と説明されています。(?)

       電源出力の平均電流を0.6Aとすれば、ダイオードの定格電流は 0.6A×1.25=0.75A 以上の
       ものを選定すれば良いことになります。
       また、尖頭逆電圧VRMは、次式を満たすものを選定します。

    ダイオードの尖頭逆電圧

       2×√2×1.1×7.8V×(1+0.2)=29Vとなります。
        ※「1.1」は、商用電圧変動の最大値110V。

       今回、秋月電子で販売しているPanJit Semiconductorのショットキーバリアダイオード
       1N5822を選定しました。定格は40V 3Aで、ドロップ電圧が0.525V(@3A)と
       一般のシリコンダイオードよりも低いのが特長です。

       小さいとはいえ、電圧ドロップがあるので発熱します。リード線を長めに実装したり、基板の
       パターンを広めに取るなど配慮が必要です。

    • 平滑コンデンサ
    コンデンサには大きな充放電電流(リプル電流)が流れているため、
       コンデンサの等価直列抵抗成分(ESR)による発熱が生じます。このため、コンデンサには
       充放電電流の実効値の最大値(許容リプル電流値)の規定があります。

    平滑コンデンサのリプル電流(単位A)
    Io: 出力の平均電流


    平滑コンデンサ容量の目安(単位F; ファラド)


    平滑コンデンサの耐電圧


        平滑コンデンサのリプル電流
        1.12×0.6A = 0.67A
     
        平滑コンデンサ容量
        (2.5×0.6A) / (7.8V×50Hz) = 0.0038F = 3800μF以上
         ※但し、条件が厳しくなる50Hzを想定した

        平滑コンデンサの耐電圧
        1.55×7.8V×(1+0.2) = 14.5V以上

        これらの条件をもとに、手持ちの都合もあり日本ケミコンKMHシリーズの
        3300uF 50Vを2並列としました。定格リプル電流も1.85Aですし問題ありません。

    • 3端子レギュレータとヒートシンク
    3端子レギュレータには、最小入出力間電圧が小さいμPC2405Aを選定しました。
       最小入出力間電圧は標準0.5V、最悪値1Vです。
       出力電圧は5Vなので、リプル電圧の下限は6V以上必要です。
       リプル電圧の計算値は8.05Vなので余裕があります。可能なら、電源トランスの2次電圧を
       より低いものに交換すれば、効率あがり発熱も有利となります。

       次に発熱について検討します。
       最も不利な条件として、商用電圧変動で110Vとなった場合の平滑コンデンサの平均電圧
        7.8V×1.1×(1+0.2)×√2 - 3×0.6A×(7.8V/1.112A)×0.2 - 2×0.5V = 11.04V

       従って、3端子レギュレータの電力損失
        (11.04V -5V)×0.6A = 3.6W

       この電力損失の放熱のため秋月電子のヒートシンクを使用します。ただ、このヒートシンクの
       仕様はサイズが30×30×30であることのほかは、熱抵抗すらわかりません。
       そこで形状が似ているリョーサンIC-3030-STLを参考にします。
       この仕様書のグラフによると、3.6Wの場合、50度の温度上昇があることがわかります。

       これは、負荷電流に若干の余裕を見ているとはいえ、もうワンサイズ大きなものにしたほうが
       良いような気がします。実際に温度上昇を確認して対応を考えることにします。

    • ACインレット
    ケースからAC電源コードを直接引き出すのは不格好なのでACインレットを使い、
       電源コードを分離できるようにします。たまたまジャンクでACノイズフィルタとヒューズホルダを
       内蔵したトーキン(現NECトーキン)製のものを入手できたのでこれを使います。

    • ヒューズ
    電源トランスの1次側に挿入され、AC入力から3端子レギュレータ入力までの間で起きる
       短絡事故によるケーブルやトランスの焼損を防ぐために使用します。
       電源トランスの2次側で短絡事故が発生したときに溶断しますが、電源投入時の突入電流や
       3端子レギュレータの出力短絡時の過電流(1次側換算0.11A)では切れないものを選定します。

       電源投入時の突入電流としては、電解コンデンサが充電されるまでの、数サイクル(30ms程度)
       の間、電源トランス2次側短絡(1次側換算0.62A)と同様の電流が流れます。

       これらの条件から、
       『電流容量は0.11A~0.62Aで、30msにおける溶断特性が0.62A以上のもの』
       をヒューズの仕様書により選定することになります。

       今は、手持ちの都合で0.8Aのヒューズを使用することにしていますが、
       これでは大きすぎてトランス2次側が短絡しても飛ばずトランスを保護できないことがわかりました。